book_icon

Pesquisa da Intel abre caminho para chips com mais de 1 trilhão de transístores

Os pesquisadores da Intel apresentaram avanços na tecnologia de empacotamento 3D com uma nova melhoria de 10 vezes na densidade

Pesquisa da Intel abre caminho para chips com mais de 1 trilhão de transístores

A Intel revelou descobertas de pesquisa que alimentam seu canal de inovação para manter a Lei de Moore no caminho certo para 1 trilhão de transístores em um pacote na próxima década. No IEEE International Electron Devices Meeting (IEDM) 2022 (3 a 7/12), os pesquisadores da Intel apresentaram avanços na tecnologia de empacotamento 3D com uma nova melhoria de 10 vezes na densidade; novos materiais para dimensionamento de transistor 2D além do RibbonFET, incluindo material superfino com apenas 3 átomos de espessura; novas possibilidades em eficiência energética e memória para computação de alto desempenho; e avanços para a computação quântica.

“Setenta e cinco anos desde a invenção do transistor, a inovação impulsionando a Lei de Moore continua a atender a demanda mundial exponencialmente crescente por computação. No IEDM 2022, a Intel está apresentando os avanços de pesquisa concretos e inovadores necessários para romper as barreiras atuais e futuras, atender a essa demanda insaciável e manter a Lei de Moore viva e bem nos próximos anos”, disse Gary Patton, vice-presidente e gerente-geral de Pesquisa de Componentes e Capacitação de Design da Intel.

Os pesquisadores da Intel trabalham para encontrar melhores maneiras de armazenar informações quânticas, reunindo uma melhor compreensão de vários defeitos de interface que podem atuar como distúrbios ambientais que afetam os dados quânticos

Comemorando o 75º aniversário do transístor, Ann Kelleher, vice-presidente executiva e gerente-geral de Desenvolvimento de Tecnologia da Intel, conduzirá uma sessão plenária no IEDM. Kelleher delineará os caminhos a seguir para a inovação contínua do setor – reunindo o ecossistema em torno de uma estratégia baseada em sistemas para atender à crescente demanda mundial por computação e inovar com mais eficiência para avançar no ritmo da Lei de Moore. A sessão “Celebrando os 75 anos do Transistor! A Look at the Evolution of Moore’s Law Innovation”.

A Lei de Moore é vital para atender às insaciáveis ​​necessidades de computação do mundo, já que o aumento do consumo de dados e o impulso para o aumento da Inteligência Artificial (IA) trazem a maior aceleração da demanda de todos os tempos.

Muitos dos principais marcos de inovação para melhorias contínuas de energia, desempenho e custo nas últimas duas décadas – incluindo silício tenso, portão de metal Hi-K e FinFET – em computadores pessoais, processadores gráficos e Data Centers começaram com o Grupo de Pesquisa de Componentes da Intel. Pesquisas adicionais, incluindo transistores GAA (gate-all-around) RibbonFET, tecnologia de fornecimento de energia PowerVia back-side e inovações de empacotamento como EMIB e Foveros Direct, estão no roteiro hoje.

No IEDM 2022, o Grupo de Pesquisa de Componentes da Intel mostrou seu compromisso em inovar em três áreas principais para continuar a Lei de Moore: nova tecnologia de empacotamento de ligação híbrida 3D para permitir a integração perfeita de chiplets; materiais 2D superfinos para encaixar mais transistores em um único chip; e novas possibilidades em eficiência de energia e memória para computação de alto desempenho.

Os pesquisadores do Components Research Group identificaram novos materiais e processos que confundem a linha entre embalagem e silício. Foi revelado os próximos passos críticos na jornada para estender a Lei de Moore a 1 trilhão de transístores em um pacote, incluindo um pacote avançado que pode atingir uma densidade de interconexão adicional de 10x, levando a chips quase monolíticos. As inovações de materiais da Intel também identificaram opções práticas de design que podem atender aos requisitos de dimensionamento de transistores usando novos materiais com apenas 3 átomos de espessura, permitindo que a empresa continue expandindo além do RibbonFET.

Intel apresenta chips quase monolíticos para embalagens 3D de próxima geração:

– A mais recente pesquisa de ligação híbrida da Intel apresentada no IEDM 2022 mostra uma melhoria adicional de 10 vezes na densidade de energia e desempenho em relação à apresentação de pesquisa do IEDM 2021 da Intel.

– O dimensionamento contínuo da ligação híbrida para um passo de 3 um atinge densidades de interconexão e larguras de banda semelhantes àquelas encontradas em conexões monolíticas de sistema em chip.

A Intel procura materiais ‘2D’ superfinos para encaixar mais transistores em um único chip:

– A Intel demonstrou uma estrutura de nanofolha empilhada em toda a volta usando material de canal 2D com apenas 3 átomos de espessura, enquanto alcançava comutação quase ideal de transistores em uma estrutura de porta dupla em temperatura ambiente com baixa corrente de fuga. Estes são dois avanços importantes necessários para empilhar transistores GAA e ir além dos limites fundamentais do silício.

– Os pesquisadores também revelaram a primeira análise abrangente de topologias de contato elétrico para materiais 2D que podem abrir caminho para canais de transistores escaláveis ​​e de alto desempenho.

A Intel traz novas possibilidades em eficiência de energia e memória para computação de alto desempenho:

– Para usar a área do chip com mais eficiência, a Intel redefine o dimensionamento desenvolvendo memória que pode ser colocada verticalmente acima dos transistores. Pela primeira vez na indústria, a Intel demonstra capacitores ferroelétricos empilhados que combinam com o desempenho dos capacitores de trincheira ferroelétricos convencionais e podem ser usados ​​para construir FeRAM em uma matriz lógica.

– Um modelo de nível de dispositivo pioneiro no setor captura fases mistas e defeitos para dispositivos de hafnia ferroelétricos aprimorados, marcando um progresso significativo para a Intel no suporte a ferramentas do setor para desenvolver novas memórias e transistores ferroelétricos.
Aproximando o mundo da transição além do 5G e resolvendo os desafios da eficiência de energia, a Intel está construindo um caminho viável para wafers de GaN sobre silício de 300 milímetros. Os avanços da Intel nesta área demonstram um ganho de 20 vezes em relação ao GaN padrão da indústria e estabelecem um valor recorde da indústria para fornecimento de energia de alto desempenho.

– A Intel está fazendo avanços em tecnologias de super eficiência energética, especificamente transistores que não esquecem, retendo dados mesmo quando a energia está desligada. Os pesquisadores da Intel já quebraram duas das três barreiras que impedem que a tecnologia seja totalmente viável e operacional em temperatura ambiente.

A Intel continua a introduzir novos conceitos em física com avanços na entrega de melhores qubits para computação quântica:

– Os pesquisadores da Intel trabalham para encontrar melhores maneiras de armazenar informações quânticas, reunindo uma melhor compreensão de vários defeitos de interface que podem atuar como distúrbios ambientais que afetam os dados quânticos.

Serviço
www.intel.com

 

As opiniões dos artigos/colunistas aqui publicados refletem exclusivamente a posição de seu autor, não caracterizando endosso, recomendação ou favorecimento por parte da Infor Channel ou qualquer outros envolvidos na publicação. Todos os direitos reservados. É proibida qualquer forma de reutilização, distribuição, reprodução ou publicação parcial ou total deste conteúdo sem prévia autorização da Infor Channel.